特牛网址导航

MMU内存管理单元学习-CSDN博客

网友收藏
1、内存管理单元(Memory Manager Unit)内存管理单元的功能是:虚拟地址映射和权限管理2、虚拟地址概念我们在Linux类型操作系统中看到的(利用printf打印出来的地址)都是虚拟地址,都是经过MMU加工映射的。比如两个代码hello1.c:#include <stdio.h>int a = 1;int main(void){ p...