特牛生活网

modelsim 使用的几个问题_modelsim ini optimization-CSDN博客

网友收藏
1、modelsim 打开仿真就自动退出的原因如果不是自己写的testbench结束仿真,则很有可能是因为license的问题2、modelsim6.2b中,不能波形加到wave中的原因在用modelsim仿真时,不能显示实例化端口的信号,两种情况:(1)可能是开启了优化(就是仿真start stimulation时选中了Enable Optimization副选框,设..._modelsim ini optimization