特牛网址导航

Verilog练习(1)——ram读写测试_verilog ram-CSDN博客

网友收藏
文章浏览阅读4.1k次,点赞6次,收藏37次。1、明确设计目标,是要将64个八位信号写到ram中,然后倒序读出2、测试代码主要分三块:ram模块、ram读写模块、TB文件//一个rammodule ip_ram( input clk, input en, input wr_en, input [5:0] addr, input [7:0] data, output reg [7:0] q); reg [7:0] ram[63:0]; always@(posedge clk)begin //write to ram if_verilog ram
标签:verilog ram