特牛生活网

计数器(Verilog)_计数器verilog代码_小小verifier的博客-CSDN博客

网友收藏
简介计数器的用处很多,比如在设计分频器时,需要用到计数器对每个时钟边沿进行计数,当记到某个数时,时钟翻转。同样在设计FIFO时,读写指针也需要没读或写一次,就需要讲计数器加1。下面我介绍一些简单的8位计数器的Verilog设计,仿真结果在文末。8位计数器计数器的设计如下:在每个时钟商上升沿到来时,计数器就加1。module counter(clk,rstn,dout); input clk,rstn; output [7:0]dout; reg [7:0]dout; wire_计数器verilog代码